So führen Sie Verilog -Dateien unter Linux aus

# to get waveform (dunmped as "wave.vcd")
gtkwave wave.vcd
z_z