Wie verwende ich Verilog HDL unter Ubuntu?

8

Ich habe versucht, einen kleinen Kurs mit einigen Beispielen in Verilog Hdl zu beginnen, und nachdem ich viel Zeit damit verbracht hatte, nach dem besten Simulator oder der besten IDE zu suchen , um mit einigen Beispielen zu üben, konnte ich nicht herausfinden, wie man ihn unter Ubuntu 12.04 verwendet

Ich habe also zwei Fragen:

  1. Wie kann ich Verilog unter Ubuntu 12.04 verwenden?

  2. Was ist der beste Simulator (oder die beste IDE) für Linux?

amrro
quelle

Antworten:

3

Sie können den Linux Verilog-Simulator von SynpatiCAD herunterladen, der Ubuntu-kompatibel ist. Es enthält einen Befehlszeilensimulator und eine grafische IDE. Nach der Installation können Sie das Tool ausführen und eine kostenlose 6-Monats-Lizenz für den Simulator anfordern.

Dan Notestein
quelle
5

Hilft dieser Beitrag: Verilog und VHDL unter Linux (Ubuntu) ?

Es wird eine kombinierte Verwendung von Icarus Verilog ( iverilogin Repositories) für die Verilog-Simulation, GHDL für die VHDL-Simulation und GTKWave ( gtkwavein Repositories) für die Anzeige von Wellenformen empfohlen .

Wenn Sie einen Eclipse-basierten Verilog-Editor benötigen , versuchen Sie es mit veditor .

Tom Regner
quelle
aber der Veditor öffnet nicht ich öffne es mit JDK6 und keine Antwort oder es gibt eine andere Möglichkeit, es zu starten
amrro
Es ist kein eigenständiges Produkt, sondern ein Eclipse-Plugin. Installieren Sie Eclipse und befolgen Sie die Anweisungen hier: sourceforge.net/apps/mediawiki/veditor/…
Tom Regner
ok ich möchte einen anderen Gefallen ,, könnten Sie Ihre Antwort aktualisieren, indem Sie icarus verilog installieren ,, ican't installieren Sie es
amrro
ghdl gib mir diesen Fehler ... Paket ghdl ist nicht verfügbar, wird aber von einem anderen Paket referenziert. Dies kann bedeuten, dass das Paket fehlt, veraltet ist oder nur von einer anderen Quelle verfügbar ist. E: Paket 'ghdl' hat keinen Installationskandidaten
amrro
Ah - gefunden mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html ; aufgrund von Build-Problemen wird ghdl vom Debian-Geldautomaten gelöscht und daher ab 12.04 auch in Ubuntu; Vielleicht möchten Sie versuchen, es selbst zu kompilieren (und über checkinstall zu installieren) - aber wenn Sie darüber sprechen / schreiben, geht das über meine Zeit hinaus
Tom Regner,
1

Sie können die IDE-Umgebungen auch für die FPGA-Entwicklung verwenden. Altera Quartus für Altera (Intel) FPGA oder Xilinx ISE für Xilinx-Geräte. In diesen Umgebungen können Sie VHDL- und Verilog-Quellcode schreiben und Simulatoren einschließen.

jotego
quelle
Ich habe Quartus Prime persönlich verwendet, eine IDE, die gut genug ist. Wenn Sie jedoch Verilog-Code auf das tatsächliche FPGA herunterladen möchten, müssen Sie die udev-Regeln bearbeiten, damit das Gerät erkannt wird.
Sergiy Kolodyazhnyy
1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

Um zu sehen, ob alles richtig funktioniert, lassen Sie uns die Hallo-Welt von Verilog machen.

nano hello.v

Schreiben Sie dann den folgenden Hallo-Welt-Code

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

Dann kompilieren

iverilog hello.v -o hello

und führen Sie schließlich Ihren Code aus

vvp hello
user10842694
quelle
-1

Versuchen Sie diesen Befehl in einem Terminalfenster:

sudo apt-get install gplcver
Linux-Operator
quelle
Dies beantwortet die Frage nicht. Bitte erläutern Sie Ihre Antwort. Was ist gplcver? Wie benutzt man es?
Eric Carvalho