Als «hdl» getaggte Fragen

14
Wie arbeiten BJT-Transistoren im gesättigten Zustand?

Folgendes weiß ich über NPN-BJTs (Bipolar Junction Transistors): Der Basis-Emitter-Strom wird am Kollektor-Emitter HFE-mal verstärkt, so dass Ice = Ibe * HFE Vbeist die Spannung zwischen Basis-Emitter und liegt, wie bei jeder Diode, normalerweise bei 0,65V. Ich erinnere mich aber nicht daran Vec....

13
Was passiert, wenn ein FPGA „programmiert“ wird?

Soweit ich weiß, besteht der Programmiervorgang für ein FPGA aus zwei Teilen: Codiere die Hardwarebeschreibung in Bits, die das FPGA verstehen kann (dh schreibe etwas HDL und kompiliere es) Laden Sie die kompilierte HDL auf das FPGA. Meine Frage ist: "Was macht der FPGA mit dem kompilierten HDL?"....

12
Cheap FPGA dev board [geschlossen]

Geschlossen. Diese Frage ist nicht zum Thema . Derzeit werden keine Antworten akzeptiert. Möchten Sie diese Frage verbessern? Aktualisieren Sie die Frage so dass es beim Thema für Elektrotechnik Stapel Börse. Geschlossen vor 4 Jahren . Ich möchte mit FPGA beginnen, habe aber noch nie zuvor mit...

12
FPGA Firmware Design: Wie groß ist zu groß?

Ich habe eine besonders große Signalverarbeitungstransformation, die von Matlab nach VHDL portiert werden muss. Es erfordert definitiv eine Art von Ressourcenteilung. Ein bisschen Berechnung gab mir Folgendes: 512 Fuß von 64 Punkten 41210 Multiplikations-Additions-Operationen Wenn man bedenkt, dass...

12
Buchempfehlungen zum FPGA [geschlossen]

Geschlossen. Diese Frage ist nicht zum Thema . Derzeit werden keine Antworten akzeptiert. Möchten Sie diese Frage verbessern? Aktualisieren Sie die Frage so dass es beim Thema für Elektrotechnik Stapel Börse. Geschlossen vor 2 Jahren . Welche Buchtitel würden Sie empfehlen, um mit FPGAs und VHDL...

11
Wie kann ich in VHDL "egal" -Signale angeben?

In Logic Design-Kursen haben wir alle gelernt, dass es möglich ist, eine Logikfunktion zu minimieren, beispielsweise mithilfe einer Karnaugh-Karte oder des Quine-McCluskey-Algorithmus . Wir haben auch erfahren, dass "Don't Care" -Werte das Minimierungspotential erhöhen. Nehmen Sie zum Beispiel eine...

11
Verwenden Sie heutzutage VHDL?

Ich bin ein Student der Elektrotechnik und studiere die Hardwarebeschreibungssprache VHDL. Ich habe bei Google nach einer IDE gesucht (ich bin auf einem Mac), aber diese Sprache scheint ziemlich tot zu sein. Hier ist meine Frage: Wird VHDL in meinem zukünftigen Job als Elektrotechniker für mich...

11
Unterschied zwischen If-else- und Case-Anweisung in VHDL

Ich möchte verstehen, wie verschiedene Konstrukte in VHDL-Code in RTL synthetisiert werden. Kann mir jemand den Unterschied zwischen If-Else- Konstrukt- und Case-Anweisungskonstrukten eines Prozesses in VHDL hinsichtlich der Art und Weise erklären, wie der Code vom Synthesetool in die RTL-Schaltung...

11
VHDL: ODER-Verknüpfung von Bits eines Vektors

Ich möchte die Bits eines Vektors zusammen ODER-verknüpfen. Angenommen, ich habe einen Vektor namens example(23 downto 0)und möchte alle Bits in einen anderen Vektor ODER verknüpfen. Gibt es eine Möglichkeit, dies zu tun, bei der es nicht darum geht, zu gehen example(0) or example(1) or...

11
Newbie-Projekte auf einem FPGA?

Gesperrt . Diese Frage und ihre Antworten sind gesperrt, da die Frage nicht zum Thema gehört, aber historische Bedeutung hat. Derzeit werden keine neuen Antworten oder Interaktionen akzeptiert. Ich bin zwei Wochen von meinem ersten College-Kurs für digitales Logikdesign entfernt, und anscheinend...

11
Codebeispiel für FIR / IIR-Filter in VHDL?

Ich versuche, mit DSP in meinem Spartan-3-Board zu beginnen. Ich habe eine AC97-Karte mit einem Chip von einem alten Motherboard hergestellt und bisher ADC ausgeführt, die Samples mit einer Zahl <1 multipliziert (Lautstärke verringern) und dann DAC. Jetzt möchte ich einige grundlegende...

11
Wie debugge ich rote Signale in ModelSIM?

Ich muss eine Zustandsmaschine entwerfen, die nur NAND-Gatter für den kombinatorischen Teil und D-Flip-Flops für die sequentielle Logik verwendet. Alles sollte mit einer Uhr von 1 GHz / 53 laufen. Bevor Sie mich jetzt mit "Wir machen Ihre Hausaufgaben nicht für Sie" angreifen, möchte ich Ihnen...