Als «xilinx» getaggte Fragen

Ein beliebter Hersteller von FPGAs (Field Programmable Gate Arrays) und CPLDs (Complex Programmable Logic Devices).

17
Wie wähle ich ein FPGA aus?

Verschlossen . Diese Frage und ihre Antworten sind gesperrt, da die Frage nicht zum Thema gehört, aber von historischer Bedeutung ist. Derzeit werden keine neuen Antworten oder Interaktionen akzeptiert. Ich muss die digitale Signalverarbeitung auf 8 analogen Leitungen bei 10 kHz durchführen. Dies...

16
Projekt zum Erlernen von VHDL

Ich bin ein EE-Student und kann [zumindest einfache] Programme in mehr Sprachen schreiben, als ich Finger habe. Ich habe gerade angefangen, VHDL zu lernen, und ich habe mich gefragt, was ein gutes Projekt wäre, um die Sprache und die relevanten Tools wirklich kennenzulernen? Ich habe Probleme...

15
Liste der Xilinx-Dateiendungen (für ISE)

Ich habe Xilinx nach einer solchen Liste gefragt, aber es gibt keine vollständige Liste. Ich möchte sicherstellen, dass sich alle Eingabedateien in der Quellcodeverwaltung befinden und nicht alle Ausgabedateien. Dies ist mit 13.1-13.2 mit ISE und PlanAhead Einige der bereitgestellten Informationen...

14
Wie arbeiten BJT-Transistoren im gesättigten Zustand?

Folgendes weiß ich über NPN-BJTs (Bipolar Junction Transistors): Der Basis-Emitter-Strom wird am Kollektor-Emitter HFE-mal verstärkt, so dass Ice = Ibe * HFE Vbeist die Spannung zwischen Basis-Emitter und liegt, wie bei jeder Diode, normalerweise bei 0,65V. Ich erinnere mich aber nicht daran Vec....

13
Verwenden Sie SVN mit Xilinx Vivado?

Ich habe gerade angegeben, Vivado in einem neuen Projekt zu verwenden und möchte die Projektdateien unter SVN stellen. Vivado scheint alle Projektdateien unter dem Projektnamen zu erstellen (sagen wir proj1): /<path to the project>/proj1/ proj1.xpr proj1.srcs/ constrs_1/ new/ const1.xdc...

12
FPGA Firmware Design: Wie groß ist zu groß?

Ich habe eine besonders große Signalverarbeitungstransformation, die von Matlab nach VHDL portiert werden muss. Es erfordert definitiv eine Art von Ressourcenteilung. Ein bisschen Berechnung gab mir Folgendes: 512 Fuß von 64 Punkten 41210 Multiplikations-Additions-Operationen Wenn man bedenkt, dass...

11
FPGA, erste Schritte

Nun, dies ist eine Fortsetzung meiner Frage zum FPGA hier . Ich habe mich schließlich für einen Digilent Atlys mit einem Spartan 6-FPGA entschieden. Ich habe noch keine Erfahrung mit FPGAs, obwohl ich einige Arbeiten mit Mikrocontrollern durchgeführt habe. Ich habe die letzten Tage damit verbracht,...

10
FPGA: hoch oder runter zählen?

Ich lerne, ein FPGA zu verwenden (Papilio Development Board, das ein xilinx spartan3e hat, mit vhdl). Ich muss einen eingehenden Impuls durch eine (fest codierte) Zahl teilen. Ich kann 3 Optionen sehen - ungefähr als Pseudocode (am Beispiel von 10 Zählungen): Initialisierung auf 0, bei Erhöhung der...

10
Was ist ein "Half Latch" in einem FPGA?

In einem Artikel über strahlungsharte FPGAs bin ich auf diesen Satz gestoßen: "Ein weiteres Problem bei Virtex-Geräten sind halbe Latches. Manchmal werden in diesen Geräten halbe Latches für interne Konstanten verwendet, da dies effizienter ist als die Verwendung von Logik." Ich habe noch nie von...

9
Simulation eines einfachen Prüfstands mit einem synthetisierten ROM-Kern

Ich bin völlig neu in der Welt der FPGAs und dachte, ich würde mit einem sehr einfachen Projekt beginnen: einem 4-Bit-7-Segment-Decoder. Die erste Version, die ich rein in VHDL geschrieben habe (es ist im Grunde eine einzige Kombination select, keine Uhren erforderlich) und es scheint zu...

8
FPGA Logic Gate Count

Ich habe ein FPGA-Board gefunden, das mir gefallen hat. Es wird ein Xilinx Spartan 6 LX45 verwendet. Als ich zum Datenblatt für die Spartan 6-Serie ging , hieß es nur, dass es 43.661 Logikzellen gab. Wie viele Tore entspricht das? Oder wie würde ich die Anzahl der Gesamtgatter aus der Anzahl der...

7
Multiplikation in VHDL

Ich versuche, einen einfachen MACC zum Laufen zu bringen, aber er macht unerwartete Dinge. Die Multiplikation funktioniert nicht. 00001 * 00001 gibt 00000 aus library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity macc is Port ( clk : in...