Als «hdl» getaggte Fragen

HDL (Hardware Description Language) ist eine Beschreibungssprache zur Beschreibung des Verhaltens digitaler Schaltungen. Beispiele sind Verilog, VHDL und ABEL.

28
VHDL: Konvertieren von einem INTEGER-Typ in einen STD_LOGIC_VECTOR

Ich habe einen Mod-16-Zähler gebaut und das Ergebnis ist ein INTEGER (in allen Beispielen wurde INTEGER verwendet). Ich habe einen Hex-zu-7-Segment-Display-Decoder gebaut, und sein Eingang ist ein STD_LOGIC_VECTOR (schrieb es so, weil es einfach war, die Wahrheitstabelle abzubilden). Ich möchte den...

25
VHDL: Component vs Entity

Ich frage mich, was der Unterschied zwischen einer Komponente und einer Entität ist. Ich würde gerne wissen, in welchen Fällen es besser ist, Komponenten anstelle von Entitäten zu verwenden. Ich danke dir

24
Wie lerne ich HDL?

Ich habe einen Kurs in Digital Design in diesem Semester und liebe es einfach. Jetzt weiß ich, dass der Großteil der Arbeit im Bereich Embedded System und Digital Design zunächst auf Computersimulatoren erledigt und dann mithilfe von Hardware implementiert wird. Also habe ich mich gefragt, wie ich...

24
RTL gegen HDL? Was ist der Unterschied

Was ist der Hauptunterschied zwischen RTL und HDL? Um ehrlich zu sein habe ich gesucht / gegoogelt, aber die Leute sind in ihren Meinungen gespalten. Ich erinnere mich an einen Spruch, der besagt, dass HDL die Computersprache ist, mit der eine digitale Schaltung beschrieben wird, und wenn sie...

24
std_logic oder std_ulogic?

Es scheint, dass die Welt entschieden hat, dass std_logic(und std_logic_vector) die Standardmethode für die Darstellung von Bits in VHDL sind. Die Alternative wäre std_ulogic, die nicht gelöst wird. Das überrascht mich , weil in der Regel, sind Sie nicht eine Beschreibung von Bus , so dass Sie tun...

22
Warum sind abgeleitete Latches schlecht?

Mein Compiler beschwert sich über abgeleitete Latches in meinen kombinatorischen Schleifen ( always @(*), in Verilog). Mir wurde auch gesagt, dass gefolgerte Latches möglichst vermieden werden sollten. Was genau ist falsch an abgeleiteten Latches? Sie machen es sicherlich einfacher, kombinatorische...

22
VHDL, die das FPGA beschädigen kann

Ich habe irgendwo gelesen, dass schlechter VHDL-Code zu FPGA-Schäden führen kann. Ist es überhaupt möglich, ein FPGA mit VHDL-Code zu beschädigen? Welche Bedingungen würden dies verursachen und was sind die

19
VHDL IDE für eine GNU / Linux-Umgebung

Ich muss VHDL von 0 lernen und ich möchte eine Option haben, die unter einem Linux-Kernel anstelle von NT / Windows läuft: irgendwelche Tipps? Ich kann auch einige gute Links zu guten VHDL-Ressourcen für Anfänger wirklich schätzen,

18
Soft-CPU-Überprüfung

Ich bin gerade dabei, eine einfache CPU in VHDL mit Xilinx ISE und ISIM zu entwerfen. Der Designteil läuft bemerkenswert gut, aber ich kann anscheinend keine Möglichkeit finden, die Überprüfung auf konsistente Weise durchzuführen. Im Moment habe ich einen VHDL-Prüfstand, den ich aktualisiere, um...

17
VHDL: ganze Zahlen für die Synthese?

Ich bin ein bisschen verwirrt, ob ich in VHDL ganze Zahlen für Synthesesignale und Ports usw. verwenden soll. Ich benutze std_logic auf höchster Ebene Ports, aber intern ich wurde überall lagen ganze Zahlen verwenden. Ich bin jedoch auf einige Verweise auf Personen gestoßen, die sagten, Sie sollten...

16
Projekt zum Erlernen von VHDL

Ich bin ein EE-Student und kann [zumindest einfache] Programme in mehr Sprachen schreiben, als ich Finger habe. Ich habe gerade angefangen, VHDL zu lernen, und ich habe mich gefragt, was ein gutes Projekt wäre, um die Sprache und die relevanten Tools wirklich kennenzulernen? Ich habe Probleme...