Als «signal» getaggte Fragen

12
Koaxialkabelsignal und Masse

Wie überträgt ein Koaxialkabel HF, Audio und niedrige Frequenzen? Signale? Ich verstehe, dass es einen Unterschied zwischen all diesen geben muss, zum Beispiel, ob der Rückweg durch den Schild verläuft oder nicht. Kann mir jemand erklären, was bei den 3 Signalarten jeweils passiert? Im Allgemeinen,...

11
SDRAM-Prototyp gegen Produktionsprobleme

Ich habe ein Design mit einem LPC1788 zusammen mit einem SDRAM-Modul von ISSI ( IS42S32800D ). Dies ist eine 32-Bit-Schnittstelle. Ich habe dieses Design ausgearbeitet und einen Prototyp mit einem Leiterplattenhersteller herstellen lassen, der 6-Schicht-Prototypen herstellt. Der Prototyp der...

11
Was ist Mekka Boden?

Ich bin auf einige verschiedene Bodennamen gestoßen (digital, analog, Signal, Strom, Mekka, EM, Abschirmung usw.). Ich verstehe die meisten von ihnen - aber Mekka ist ein neues für mich. Kann jemand bitte diesen Mekka-Grund

9
Simulation eines einfachen Prüfstands mit einem synthetisierten ROM-Kern

Ich bin völlig neu in der Welt der FPGAs und dachte, ich würde mit einem sehr einfachen Projekt beginnen: einem 4-Bit-7-Segment-Decoder. Die erste Version, die ich rein in VHDL geschrieben habe (es ist im Grunde eine einzige Kombination select, keine Uhren erforderlich) und es scheint zu...