Als «fpga» getaggte Fragen

11
FPGA, erste Schritte

Nun, dies ist eine Fortsetzung meiner Frage zum FPGA hier . Ich habe mich schließlich für einen Digilent Atlys mit einem Spartan 6-FPGA entschieden. Ich habe noch keine Erfahrung mit FPGAs, obwohl ich einige Arbeiten mit Mikrocontrollern durchgeführt habe. Ich habe die letzten Tage damit verbracht,...

10
FPGA-Verbindung zum externen Speicher

Ich versuche, den Mobilfunk-RAM auf dem Nexys 4 FPGA-Entwicklungsboard zu verwenden . Ich verwende Xilinx Vivado und möchte, dass ein Microblaze-Softcore-Prozessor Lese- und Schreibvorgänge ausführen kann. Bisher habe ich den Prozessor in einem Blockdesign erstellt. Nach langem Suchen im Internet...

10
Wie erstelle ich einen FPGA-basierten Ambilight-Klon?

Ein kurzer Hintergrund: Ambilight ist ein System auf einigen Philips Fernsehgeräten, das die Farbinformationen auf dem Bildschirm analysiert und dann einige LEDs auf der Rückseite des Displays anbringt, um die Farbe des Bildschirms auf die Wand zu projizieren. Es ist ein ziemlich raffinierter...

10
FPGA: hoch oder runter zählen?

Ich lerne, ein FPGA zu verwenden (Papilio Development Board, das ein xilinx spartan3e hat, mit vhdl). Ich muss einen eingehenden Impuls durch eine (fest codierte) Zahl teilen. Ich kann 3 Optionen sehen - ungefähr als Pseudocode (am Beispiel von 10 Zählungen): Initialisierung auf 0, bei Erhöhung der...

10
Prozess-Timing auf FPGA

Ich bin neu bei fpgas und es gibt einige zeitliche Feinheiten, die ich nicht sicher verstehe: Wenn alle meine synchronen Prozesse an derselben Flanke ausgelöst werden, bedeutet dies, dass meine Eingaben an einer steigenden Flanke und an meiner erfasst werden Ausgänge ändern sich an .. der gleichen...

10
Embedded Linux auf FPGA

Möchten Sie diesen Beitrag verbessern? Geben Sie detaillierte Antworten auf diese Frage, einschließlich Zitaten und einer Erklärung, warum Ihre Antwort richtig ist. Antworten ohne ausreichende Details können bearbeitet oder gelöscht werden. Ich habe nur sehr begrenzte Erfahrung mit FPGAs (Altera -...

10
Was ist die XMOS-Serie?

Ok, ich habe kürzlich gesehen, dass der Name XMOS an einigen Stellen erscheint. Ich habe auf ihrer Website nachgesehen und online gesucht, kann aber nicht genau herausfinden, was es ist. Also, was ist es? Es scheint eine Kreuzung zwischen einem Mikrocontroller und einem FPGA zu sein? Ich habe auch...

10
Was ist ein "Half Latch" in einem FPGA?

In einem Artikel über strahlungsharte FPGAs bin ich auf diesen Satz gestoßen: "Ein weiteres Problem bei Virtex-Geräten sind halbe Latches. Manchmal werden in diesen Geräten halbe Latches für interne Konstanten verwendet, da dies effizienter ist als die Verwendung von Logik." Ich habe noch nie von...

10
MD5 VHDL-Pipeline

Ich versuche, eine dreistufige MD5-Pipeline gemäß diesem Link zu implementieren . Insbesondere die Algorithmen auf Seite 31. Es gibt auch ein anderes Dokument, das die Datenweiterleitung beschreibt. Dies erfolgt in einem FPGA (Terasic DE2-115). In diesem Projekt gibt es keine Schaltpläne, nur...

10
Zeitbeschränkung für Bussynchronisierschaltungen

Ich habe eine Bussynchronisationsschaltung zum Weiterleiten eines breiten Registers über Taktdomänen. Ich werde eine vereinfachte Beschreibung bereitstellen, wobei die asynchrone Rücksetzlogik weggelassen wird. Die Daten werden auf einer Uhr generiert. Updates sind viele (mindestens ein Dutzend)...

10
Mit beiden Kanten einer Uhr

Ich programmiere einen Altera Cyclone IV mit Verilog und Quartus II. In meinem Design möchte ich beide Flanken einer Uhr verwenden, damit ich die Taktteilung durch einen ungeraden Faktor mit einem Tastverhältnis von 50% durchführen kann. Hier ist ein Ausschnitt aus meinem Code: always @(posedge...